sitemap contact  
  HOME > Products > EDA tools > Digital Design and Signoff > SDC and CDC Validation
 
  Vendor: Cadence Design Systems, Inc.  
  Product Name: Conformal Constraint Designer  
  Product Introduce:    

 

Delivers automated validation and refinement  of
timing constraints from RTL to layout



Key Benefits 

  •
 Ensures timing constraints are correct and complete

  •  Shortens design cycles with a comprehensive analysis environment that checks the
      creation and integration of block-level and top-level constraints

   Validates that CDCs have proper synchronizers in place, easily visualized through
      a FIFO manager 

  

Cadence® Conformal® Constraint Designer provides a complete and efficient path to develop and
manage constraints and clock-domain crossings (CDCs), ensuring they are functionally correct from
RTL to layout. By pinpointing real design issues quickly and accurately, delivering higher quality timing
constraints, and finding issues with clock-domain synchronizers, the solution helps you reduce overall
design cycle times and enhance quality of silicon in complex SoC designs.

With Conformal Constraint Designer, you can reduce the risk of respins through formal validation of
constraints. Since the solution quickly validates failing timing paths as functionally false, it speeds
convergence for timing closure. It also creates initial constraints effortlessly with the SDC advisor.





  Copyright © 2011 MAOJET TECHNOLOY CORP. ALL RIGHTS RESERVED.